ResearchBib Share Your Research, Maximize Your Social Impacts
Sign for Notice Everyday Sign up >> Login

A Deterministic behavior of Globally Asynchronous Locally Synchronous Microprocessor Architecture

Journal: International Journal of Application or Innovation in Engineering & Management (IJAIEM) (Vol.6, No. 6)

Publication Date:

Authors : ; ;

Page : 290-296

Keywords : offer a great deal of flexibility for tuning the system performance.;

Source : Downloadexternal Find it from : Google Scholarexternal

Abstract

Abstract The globally-asynchronous locally-synchronous (GALS) architecture called “synchro-tokens” which exhibits deterministic state and output sequences. This deterministic behavior facilitates industrial validation, debug, and test methodologies which rely on predictable and repeatable system behavior. The ‘synchro-tokens' architecture uses token rings for handshaking and self-timed FIFOs for pipelined interconnect. Local counters keep track of how long a token is held and the elapsed time since it was last released to ignore early tokens and to stop the local clock to wait for late tokens. Because no synchronizers are used, there is zero probability of failure due to metastability.

Last modified: 2017-07-22 22:47:22