ResearchBib Share Your Research, Maximize Your Social Impacts
Sign for Notice Everyday Sign up >> Login

IMPLEMENTATION OF SIMPLE PWM/PPM GENERATOR FOR MICROCONTROLLER USING VERILOG

Journal: International Journal of Advanced Research in Engineering and Technology (IJARET) (Vol.12, No. 03)

Publication Date:

Authors : ;

Page : 97-102

Keywords : Pulse Width Modulation (PWM); Pulse Position Modulation (PPM); Verilog HDL; ModelSim; FPGA.;

Source : Downloadexternal Find it from : Google Scholarexternal

Abstract

A Pulse Width Modulation (PWM) Signal is a method for generating an analog signal using a digital source. Now-a-days microcontrollers support PWM outputs. A PWM signal consists of two main components a duty cycle and a frequency. The PWM Generator block generates pulses for carrier-based pulse width modulation (PWM) converters using two-level topology. Most of the microcontrollers will have built in timers which helps in generation of PWM signal with various widths. PWM generator helps in controlling the brightness in smart lighting systems by controlling voltage to LED driver connected with LED bulbs. Also helps in controlling the speed of motors by varying voltage supply to it. It is also used as modulation scheme to encode message into pulsing signal for transmission. Pulse-position modulation (PPM) is a form of signal modulation in which M message bits are encoded by transmitting a single pulse in one of possible required time shifts. This is repeated every T-seconds, such that the transmitted bit rate is. bits per second. Used in non-coherent detection where a receiver does not need any Phase lock loop for tracking the phase of the carrier, Used in radio frequency (RF) communication. Also used in contactless smart card, high frequency, RFID (radio frequency ID) tags. This project demonstrates how a simple and fast a pulse width modulator (PWM) generator and a pulse position modulator (PPM) can be implemented using Verilog programming. It is simulated using ModelSim, a multi-language (hardware description language) simulation environment from Mentor Graphics and tested on FPGA development board. In the hardware simulation the module for PWM generator can be realized using development board. The board is built around Xilinx Spartan-3E FPGA and Atmel AT90USB2 USB controller. It provides complete, ready-to-use hardware suitable for hosting circuits, ranging from basic logic devices to complex controllers. The FPGA development board allows USB programming through the computer USB port. The program, when run, automatically detects the development board connected to the system, and allows you to program ROM memory in the FPGA board to permanently store the code, or to temporarily program the FPGA with the code. When programmed temporarily, FPGA runs the code as long as it is supplied with power. Once power disconnected, FPGA reverts back to being a blank IC, waiting to be programmed again.

Last modified: 2021-03-29 19:43:05