ResearchBib Share Your Research, Maximize Your Social Impacts
Sign for Notice Everyday Sign up >> Login

AN EFFECTIVE PERFORMANCE OF LOGIC CELLS USING CURRENT SOURCE MODELING

Journal: International Journal of Electrical Engineering and Technology (IJEET) (Vol.9, No. 4)

Publication Date:

Authors : ; ;

Page : 171-179

Keywords : Current source model (CSM); static timing analysis (STA); Performance verification; combinational and sequential logic cells.;

Source : Downloadexternal Find it from : Google Scholarexternal

Abstract

Current source model has become a good concern in logic cells. These standard cells must be presented for performing noise and delay analysis. Current source modeling is effectively considered for the traditional static timing analysis. The existing CSMs are only applicable for combinational logic cell. The proposed methods were implementing all logic cells. Logic cells can take arbitrary shapes inputs like step and ramp signals but ramp signal only applied here. In this paper described about the circuit parameters simulate in effective spice and determine the noise, time, delay waveforms with SPICE accuracy. We can able to compute the RMSE values from the SPICE and CSM voltage values. The proposed method computes the correct RMSE values from different logical circuits using CSM and compare their results.

Last modified: 2021-04-12 17:38:22