ResearchBib Share Your Research, Maximize Your Social Impacts
Sign for Notice Everyday Sign up >> Login

CODIFICATION OF DATA IN NOC FOR REDUCING POWER CONSUMPTION WITH LESS AREA

Journal: IPASJ International Journal of Electronics & Communication (IIJEC) (Vol.4, No. 3)

Publication Date:

Authors : ; ; ; ; ;

Page : 016-026

Keywords : ;

Source : Downloadexternal Find it from : Google Scholarexternal

Abstract

ABSTRACT In this paper we present a set of data encoding and decoding schemes operating at flit level and on an end-to-end basis, which allows us to minimize both self and coupling switching activities. The self-switching is reduce by checking the switching transition and then the coupling technique is incorporated with the wormhole routed network, that is flits are encoded by the network interface before they are injected in the network and are decoded by the destination NI (network interface). Networkon- chip (NoC) topology is composed by an arbitrary number of instances of three basic kind of functional blocks Network Interfaces, Links and Switches. In NoC the major source for power dissipation is the NoC links. The self and coupling switching activities are responsible for link power dissipation. Especially the decoding schemes are focused on reducing hardware. This paper analysis the power and delay reduction of both encoding and decoding schemes and also analysis the 3- bit odd and even inversion transition. Keywords: Coupling switching activity, data encoding, interconnection on chip, low power, network-on-chip (NoC), power analysis. Volume & Issue No. = Volume 4, Issue 3, March 2016

Last modified: 2016-04-01 19:59:47